Please Help me For the above circuit Vcc24 V RL10 Kohms and

Please Help me

For the above circuit, V_cc=2.4 V, RL=10 Kohms and RE = 270 ohms. If silicon transistor is used with B=45 and if under conditions like VCE=5 V, determine RB and S. Create a behavioral HDL description of 8 bit register with two control inputs s1 and s0 having + the control behavior described as following

Solution

Q17) ANs:

VHDL program:

Library IEEE;

use IEEE STD_LOGIC_1164.ALL;

entity EightBitRegister is

port (w: in std_logic_vector(7 downto 0);

S: in std_logic_vector(0 to 1);

F: out std_logic_vector(7 downto 0));

end EightBitRegister;

architecture Behavioral of EightBitRegister is

gegin

process (w, S)

begin

if(S= “00”) then

F<=w;

elseif (S= “01”) then

F<= “11111111”;

elseif(S= “10”) then

F<= ‘0’ & w(7 downto 1);

else

F<= w(5 downto 0) & w(7);

end if;

end process;

end Behavioral;

Please Help me For the above circuit, V_cc=2.4 V, RL=10 Kohms and RE = 270 ohms. If silicon transistor is used with B=45 and if under conditions like VCE=5 V, d

Get Help Now

Submit a Take Down Notice

Tutor
Tutor: Dr Jack
Most rated tutor on our site