Code the decoder in HDL Table 111 The decoder to be implemen
Code the decoder in HDL
Table 11.1: The decoder to be implemented CS L A2 A1 A0 Output to Assert none BILL L MARY L JOAN L PAUL L ANNA L FRED L DAVE L KATE LSolution
 Library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 entity decoder is
     Port (A         : in STD_LOGIC_VECTOR (2 downto 0);
         BILL_L    : out STD_LOGIC;
          MARY_L    : out STD_LOGIC;
           JOAN_L    : out STD_LOGIC;
         PAUL_L    : out STD_LOGIC;
           ANNA_L    : out STD_LOGIC;
         FRED_L    : out STD_LOGIC;
           DAVE_L    : out STD_LOGIC;
         KATE_L    : out STD_LOGIC;
           CS_L      : in STD_LOGIC);
 end decoder;
architecture Behavioral of decoder is
begin
 process (A,CS_L)
 begin
     if (CS_L = \'1\') then
     BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
     elsif(CS_L = \'0\') then
         case A is
             when \"00-\" => BILL_L <= \'0\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"0-0\" => BILL_L <= \'1\'; MARY_L <= \'0\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"01-\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'0\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"0-1\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'0\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"10-\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'0\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"1-0\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'0\'; DAVE_L <= \'1\'; KATE_L <= \'1\';
             when \"11-\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'0\'; KATE_L <= \'1\';
             when \"1-1\" => BILL_L <= \'1\'; MARY_L <= \'1\'; JOAN_L <= \'1\'; PAUL_L <= \'1\'; ANNA_L <= \'1\'; FRED_L <= \'1\'; DAVE_L <= \'1\'; KATE_L <= \'0\';
     when others => null;
 end case;
 end if;
 end process;
 end Behavioral;

