can you please solve this in verilog thank you Assuming the

can you please solve this in verilog

thank you

Assuming the existence of a Verilog module for a 4-to-1 MUX mux4tol(input [3:0] D, input [1:0] S, output F) use that module to obtain the Verilog code for a 16-to-1 MUX module.

Solution

Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity MUX16to1 is
port(a:in std_logic_vector(15 downto 0);

s: in std_logic_vector(3 downto 0);

Z:out std_logic);

End MUX16to1;

Architecture MUX16to1new of MUX16to1 is
signal z1,z2,z3,z4:std_logic;

component MUX4to1 is

port(a,b,c,d,s0,s1:in std_logic;

Q:out std_logic);

End component;

Begin

M1: MUX16to1 port map(a(0),a(1),a(2),a(3),s(0),s(1),z1);
m2: MUX16to1 port map(a(4),a(5),a(6),a(7),s(0),s(1),z2);
m3: MUX16to1 port map(a(8),a(9),a(10),a(11),s(0),s(1),z3);
m4: MUX16to1 port map(a(12),a(13),a(14),a(15),s(0),s(1),z4);
m5: MUX16to1 port map(z1,z2,z3,z4,s(2),s(3),z);

End MUX16to1new

can you please solve this in verilog thank you Assuming the existence of a Verilog module for a 4-to-1 MUX mux4tol(input [3:0] D, input [1:0] S, output F) use t

Get Help Now

Submit a Take Down Notice

Tutor
Tutor: Dr Jack
Most rated tutor on our site